您好,欢迎来到佳博论文网!

电子脉搏计设计--课程设计

论文编号:ZD1667 字数:3676,附电路图

电子脉搏

电子脉搏计设计

一、设计任务与要求

为更好的运用所学的知识,加深对电子电路的掌握,达到创新的目的。通过实践制作一个数字频率计,学会合理的利用集成电子器件制作电路基于数字电路和模拟电路的课程设计与制作。

简述了在EDA平台上利用硬件描述语言VHDL结合CPLD/FPGA器件,设计了一种数显式脉搏测试仪。通过测试和实际应用表明:其性能稳定、工作可靠、升级方便。实现了对人体脉搏的电子测量,并且能通过外界扩音器实现听诊的功能。文章给出了系统的功能特点,设计原理,硬件电路及软件设计等。该系统利用脉冲干扰动平均值法滤波,在提高精度的同时也大大提高了系统的响应速度,该仪器成本低,可靠性高,操作方便。

电子脉搏计设计:由压电陶瓷片、三个2输入与或门CD4070组成四倍频器、555集成定时器、十进制集成块74160N三片、七段数码管(DCH-HEX)组成。, 74160N与它配套使用可直接驱动显示。

脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。它是用来测量频率较低的小信号。

要求:

(1)实现在15S内测量1min的脉搏数;

(2)用数码管将测得的脉搏数用数字的形式显示;

(3)测量误差小于±4次/min。

电子脉搏计设计--课程设计......